CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog adder

搜索资源列表

  1. mul64

    0下载:
  2. 64位乘法器设计实验是我在科大的第一个课程设计,verilog程序的熟练掌握对于微电子专业的学生来讲是非常必要的,对于此次设计我也花费了很长时间。 本设计分为3个部分,即控制和(1)状态选择部分,(2)乘法器部分,(3)加法器部分。 以下我将按此顺序进行说明。需要指出的是,在实际设计中的顺序恰好是颠倒的,这与设计思路有关,在刚开始的时候由于对整体没有一个很好的把握就先选择最简单的一部分几加法器开始入手,然后就是乘法器,最后作乐一个状态控制电路将两部分联系起来。 -A 64-bit m
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:647
    • 提供者:杨阳
  1. 4-ahead_Adder

    0下载:
  2. 用Verilog HDL语言实现超前进位加法器的逻辑功能,通过ModelSim软件对4位超前进位加法器设计的仿真.-With the Verilog HDL language-ahead adder logic functions, by ModelSim software 4-ahead adder design simulation.
  3. 所属分类:Other systems

  1. adder_4

    0下载:
  2. 详细介绍了四位加法器的verilog代码,还包括详细的testbench代码。-Details of the four adder verilog code, also includes detailed testbench code.
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:538
    • 提供者:kevin
  1. VLSI_CA1.tar

    0下载:
  2. this the implementaion of an 8-bit mirror adder in Verilog-this is the implementaion of an 8-bit mirror adder in Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:147575
    • 提供者:meteora
  1. adder16_2

    0下载:
  2. 16位2级流水线加法器的Verilog设计-16 2 pipeline adder Verilog Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:589
    • 提供者:xiaobai
  1. par_addsub

    0下载:
  2. adder subtreactor verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:531
    • 提供者:shahul
  1. 3bit_adder

    0下载:
  2. Verilog source code for a 3bit full adder build with modules using predefined nand gates.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:650
    • 提供者:CRC PUCMG
  1. booth4

    0下载:
  2. 4位的booth算法加法器,对计算机组成原理的学习有帮助,verilog语言编写-4-bit adder booth algorithm, the learning of computer organization help, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2284
    • 提供者:lai
  1. four_bit_addersubtractor

    0下载:
  2. Verilog code for 4 bit Adder/Subtructor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1001
    • 提供者:qt
  1. adder4

    0下载:
  2. 这是一个用verilog编写的四位加法器,编程环境是xilinx ise10.1.-This is a written with the four adder verilog, programming environment is xilinx ise10.1.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:397363
    • 提供者:wupeixin
  1. adder4

    0下载:
  2. 此源代码是基于Verilog语言的4 位全加器,4 位计数器、 4 位全加器的仿真程序、4 位计数器的仿真程序是用EDA语言描述4 位全加器,有广泛的应用。-The Verilog language source code is based on the 4-bit full adder, 4 bit counter, 4-bit full adder simulation program, 4-bit counter of the simulation program is to use la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:841
    • 提供者:王柔毅
  1. ADD6

    0下载:
  2. 此源代码是基于Verilog语言的多种方式实现的4 选 1 MUX、多种方式实现的4 选 2 MUX 、多种方式实现的1 位半加器 、多种方式实现的1 位全加器、种方式实现的 4 位全加器 、多种方式实现的输出 UDP 元件、两个时钟信号 、选择器 和各种仿真的源代码。-This source code is based on the Verilog language, multiple ways to achieve the 4 S 1 MUX, a variety of ways to ac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3863
    • 提供者:王柔毅
  1. Desktop

    0下载:
  2. it s a file contain Verilog code of a full adder. I hope this file is usefull for someone ! Regards !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3061
    • 提供者:yuri katachi
  1. add83coder

    0下载:
  2. 实现加法器和83译码器的功能!写的很好的verilog程序!-Adder and 83 to achieve the function of the decoder! Verilog to write a good program!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:729
    • 提供者:qixia
  1. verilog_calculator

    1下载:
  2. 用verilog编写的简易计算器代码。通过一位全加器组成电路,可以实现加法、减法和乘法,并在七段数码管上显示出十进制的结果。-Simple calculator with code written in verilog. Composed by a full adder circuit, can add, subtract and multiply, and in the seven-segment LED display on the decimal result.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:15920
    • 提供者:刘涛
  1. full_adder

    0下载:
  2. 用verilog在半加器的基础上实现了全加器,方法简单巧妙,对于FPGA入门学习很有帮助-In the half adder using verilog on the basis of a full adder, simple and clever, very helpful for the FPGA Starter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:273870
    • 提供者:孙超
  1. Simple_Verilog_Code_For_Beginner

    0下载:
  2. verilog code for beginner (adder, comparator, mux, or, and subtractor)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1160
    • 提供者:abanuaji
  1. fpuvhdl_latest

    0下载:
  2. the code describle a floating point adder with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:133175
    • 提供者:frank
  1. F_ADD

    0下载:
  2. a adder with verilog-a adder with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1614
    • 提供者:frank
  1. addersubtractor

    0下载:
  2. adder subtractor...this source is example to build adder and subtractor code in verilog (.v)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1021
    • 提供者:taufiq.alif
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 19 »
搜珍网 www.dssz.com